模60同步或异步复位计数器

本节课以一个“模60同步或异步复位计数器”实验为例,带领大家学习一下后面我们经常用到的编程工具Vivado和Verilog语言。

2 已注册 还没有评分! 初级

本节课以一个“模60同步或异步复位计数器”实验为例,带领大家学习一下后面我们经常用到的编程工具Vivado和Verilog语言。

主要内容:

  1. 学习建立新的工程(含2种方法)。
  2. 学习各种文件的使用和建立。
  3. 学习Vivado软件的操控面板。
  4. 学习Verilog语言的重点语法。
  5. 学习设计一个模60异步复位计数器。
  6. 学习设计一个模60同步复位计数器。
¥2.00 ¥10.00

要求

  • 1.学习本课程前,先安装好Vivado软件,可以按照(*环境配置教程*)网页中的相关安装教程或其他方法。
  • 2.准备好一块xilinx zynq-7000系列下的pynq-z2板卡,其他型号的板卡也可以,事先确定该板卡的基本硬件配置。(可选)
  • 3.本节课用不到硬件实物,准备好板卡是为了在这节课学习建立工程时把后期要用的板卡配置弄好。

我将学到什么?

  • 1.建立新的工程(含2种方法)。
  • 2.各种文件的使用和建立。
  • 3.Vivado软件的操控面板。
  • 4.Verilog语言的重点语法。
  • 5.设计一个模60异步复位计数器。
  • 6.设计一个模60同步复位计数器。

Donglin Zhu

zdl

5.0讲师评级
27
学生
16
课程
3
评论
查看详情